CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog 乘法器

搜索资源列表

  1. Multi_SI

    0下载:
  2. 用verilog实现的乘法器,可以综合,经过验证。-Implementation multiplication with verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2799
    • 提供者:yangyang
  1. mult-64bit-booth.txt

    1下载:
  2. 64位booth乘法器,verilog HDL, zip文件,modelsim测试通过-64 booth multiplier, verilog HDL, zip files, modelsim test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:94353
    • 提供者:cunxi
  1. mux16

    1下载:
  2. 基于FPGA的verilog编写的乘法器-FPGA-based multiplier verilog prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:138361
    • 提供者:张毅
  1. Multiplier-digital-tube-display

    0下载:
  2. 乘法器数码管显示,FPGA的verilog代码-Multiplier digital tube display
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-17
    • 文件大小:220177
    • 提供者:shixiaohong
  1. multiply_verilog

    0下载:
  2. 几个常用的乘法器的verilog实现,包括普通乘法器,时序乘法器,行波乘法器-Several commonly used multiplier verilog achieve, including ordinary multiplier, multiplier timing, traveling wave multiplier, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2629
    • 提供者:杜洵
  1. sss

    1下载:
  2. 使用Verilog语言编写源代码.调用一些基本的IP核,如DCM模块、DDS模块ChipScope模块、乘法器模块等来实现调制.最后通过编程并利用FPGA板子实现AM、DBS、SSB的调制。-Using Verilog language source code. Invoke some basic IP cores, such as DCM module, DDS module ChipScope modules, multiplier module to achieve modulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-16
    • 文件大小:1825792
    • 提供者:Blus
  1. booth-mutiplier

    0下载:
  2. booth乘法器的verilog实现及仿真。 内含verilog源码和modelisim仿真源码,清晰的实现了硬件乘法器,代码注释清晰-booth multiplier verilog verilog implementation and simulation contains the source code and modelisim simulation code, clear notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:513048
    • 提供者:孙浩
  1. Multiply8-6

    0下载:
  2. FPGA verilog用移位相加的方式来实现8位的乘法器-FPGA verilog With shift and add a way to achieve 8 multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:237895
    • 提供者:李潇
  1. ParallelSerialMult

    1下载:
  2. 用verilog代码实现了 并行线性序列乘法器,流水线技术实现了乘法操作-Verilog code using a linear sequence of parallel multipliers, pipeline technology to achieve a multiplication operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1767
    • 提供者:蒋帅
  1. multiplier.v

    0下载:
  2. 依旧是自己写的一个8*8的乘法器的verilog代码,所以请大家下载,-Verilog still write their own code of an 8* 8 multiplier, so please download, thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1016
    • 提供者:liuyang
  1. cfq8

    0下载:
  2. 基于Quartus仿真软件verilog语言的八位二进制乘法器,用于八位二进制乘法运算。-Based on Quartus simulation software of eight binary multiplier, verilog language used in eight binary multiplication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:592
    • 提供者:刘杨
  1. multiplying-unit

    1下载:
  2. fpga verilog入门经典系列完整版,下载即用:乘法器-fpga verilog multiply
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:136808
    • 提供者:wdlpt
  1. mul16

    0下载:
  2. 16位二进制数移位乘法器的实现,使用Verilog HDL实现-The realization of the 16 bit binary number shifting multiplier, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1449081
    • 提供者:zhouyu
  1. booth_multiplie_module

    0下载:
  2. 利用verilog实现的Booth算法乘法器,对想学习乘法器的将会有很大的帮助.-Booth algorithm verilog realization use multipliers, the multiplier will want to learn a great help.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:188509
    • 提供者:chengzetao
  1. 4booth_multiplie_module_2

    0下载:
  2. 采用Verilog对Booth算法乘法器的改进,对想学习乘法器的会有很大的帮助。-Improved algorithm using Verilog Booth multiplier, multiplier want to learn to have a lot of help.
  3. 所属分类:DNA

    • 发布日期:2017-03-29
    • 文件大小:560606
    • 提供者:chengzetao
  1. 5lut_multiplier_module

    0下载:
  2. 利用Verilog编写的基于Quartersquare的查表法乘法器,对想学习乘法器的将会有很大的帮助-Use Verilog prepared Quartersquare the look-up table based multiplier multiplier will want to learn a great help
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:139578
    • 提供者:chengzetao
  1. 6modified_booth_multiplier_module

    0下载:
  2. 利用Verilog编写的ModifiedBooth乘法器,对想学习乘法器的将会有很大的帮助-Use Verilog prepared ModifiedBooth multiplier, multiplier will want to learn a great help
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:532448
    • 提供者:chengzetao
  1. mul

    0下载:
  2. 使用Verilog实现的原码4位数的移位乘法器-Using Verilog to realize the original code 4 bit shift multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:628
    • 提供者:zhangjiachen
  1. ad5544

    1下载:
  2. 模数乘法器AD5544的Verilog源程序,已在项目中验证了其可行。-Verilog source AD5544 analog multiplier, and have verified its feasibility in the project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1338
    • 提供者:avion
  1. 32bit_multiply

    1下载:
  2. 包含32为乘法器的设计,用verilog语言实现,包括booth编码的实现,booth乘法器的实现,3_2压缩器的实现,4_2压缩器的实现,华伦斯树的实现,以及两个testbench文件用于测试。-Contains 32 multiplier design, verilog language, including booth encoding implementations, booth multiplier implementations, 3_2 compressor implementat
  3. 所属分类:MPI

    • 发布日期:2017-04-14
    • 文件大小:4101
    • 提供者:DX
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com